PC (1) 썸네일형 리스트형 PC-(Program Counter) Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity PC is port(PCce : in std_logic; clk : in std_logic; rst_n : in std_logic; sum : in std_logic_vector(7 downto 0); pc_out : out std_logic_vector(7 downto 0)); end PC; architecture BEHAV of PC is begin process(clk, rst_n, Pcce) begin if(rst_n = '0')then pc_out '0'); elsif(clk ='0' and cl.. 이전 1 다음