acc (1) 썸네일형 리스트형 ACC - accumulator(누산기) Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; Entity ACC is port(clk : in std_logic; rst_n : in std_logic; ACCce : in std_logic; sum : in std_logic_vector(7 downto 0); ACC15 : out std_logic; ACCz : out std_logic; a : out std_logic_vector(7 downto 0)); End ACC; Architecture BEHAV of ACC is signal acc_r : std_logic_vector(7 downto 0); beg.. 이전 1 다음