ALU (1) 썸네일형 리스트형 ALU-산술연산장치 Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; Entity ALU is port(rst : in std_logic; ALUfs : in std_logic_vector(2 downto 0); a : in std_logic_vector(7 downto 0); b : in std_logic_vector(7 downto 0); sum : out std_logic_vector(7 downto 0)); end ALU; Architecture BEHAV of ALU is begin process(rst, ALUfs, a,b) begin if(rst = '1') then sum.. 이전 1 다음